欢迎来到课桌文档! | 帮助中心 课桌文档-建筑工程资料库
课桌文档
全部分类
  • 党建之窗>
  • 感悟体会>
  • 百家争鸣>
  • 教育整顿>
  • 文笔提升>
  • 热门分类>
  • 计划总结>
  • 致辞演讲>
  • 在线阅读>
  • ImageVerifierCode 换一换
    首页 课桌文档 > 资源分类 > DOCX文档下载  

    EDA技术(机械)实验报告--16-16点阵显示设计.docx

    • 资源ID:900952       资源大小:117.15KB        全文页数:8页
    • 资源格式: DOCX        下载积分:5金币
    快捷下载 游客一键下载
    会员登录下载
    三方登录下载: 微信开放平台登录 QQ登录  
    下载资源需要5金币
    邮箱/手机:
    温馨提示:
    用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP免费专享
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA技术(机械)实验报告--16-16点阵显示设计.docx

    实验报告(计算机类)开课学院及实验室:实验时间:年月曰学生姓名学号9成绩学生所在学院年级/专业/班课程名称EDA技术(机械)课程代码实验项目名称16*16点阵显示设计项目代码指导教师项目学分一、实验目的1 .了解VHDL语言编程方法,学会熟练运用quartus软件2 .了解点阵显示原理3 .了解如何使用VHDL设计一个16*16点阵显示二、内容与设计思想本设计针对一个16*16的点阵使用逐列循环扫描的方式来不间断的显示VHDL这四个英文字母。三、使用环境WinXP或Win7QUartUSii编程环境四、核心代码及调试过程16*16点阵显示设计chw模块Iibraryieee;useieee.std_Iogic_1164.all;useieee.std_Iogic_unsigned.all;entitychwisport(elk:instd_logic;q:outstd_Iogic_vector(1downto0);endchw;architecturechw_arcofchwisbeginProcess(cIk)variabIeent:std_Iogic_vector(3downto0);variabIetmp:std_Iogic_vector(1downto0);beginifcIk,eventandcIk=,1,thenifcnt<,1111"thenent:=cnt+1;eIseent:="0000"iftmp=,11"thentmp:="00”;eIsetmp:=tmp+1;endif;endif;endif;q<=tmp;endprocess;endchw_arc;CNTA模块-Iibraryieee;useieee.std_Iogic_1164.aII;useieee.std_Iogic_unsigned.all;entitycntaisport(elk:instd_logic;q:outstd_Iogic_vector(3downto0);endcnta;architecturecnta_arcofcntaisbeginprocess(cIk)variabIetmp:std_Iogic_vector(3downto0);beginifcIk,eventandcIk=,1,theniftmp=',1111"thentmp:="0000',;eIsetmp:-tmp+1;endif;endif;q<-tmp-1;endprocess;endcnta_arc;CORA模块Iibraryieee;useieee.std_Iogic_1164.all;entitycoraisport(ch:instd_Iogic_vector(1downto0);seI:instd_Iogic_vector(3downto0);q:outstd_Iogic_vector(15downtoO);endcora;architecturecora_arcofcoraisbeginprocess(ch,seI)begincasechiswhen,00,1=>caseseIiswhen,0000,=>q<="0111000000000000"when,000,=>q<=,0111100000000000"when,0010,=>q<=,>0001111000000000"when"001'=>q<="0000011110000000"when-0100',=>q<=,0000000111100000"when"0101',=>q<=>,0000000001111000"when-0110',=>q<=,>0000000000011110"when"0111"=>q<=,>000000000000011,;when,1000,=>q<=,000000000000011,;when,1001,=>q<=>,0000000000011110"when"1010"=>q<="0000000001111000"when"1011"=>q<=,0000000111100000"when-1100',=>q<="0000011110000000"when,110,=>q<=>,0001111000000000"when-1110',=>q<=>,0111100000000000"when-111,=>q<=,0111000000000000"whenothers=>nuII;endcase;when"01'1=>caseseIiswhen,0000,=>q<=,0000000000000000,1;when"0001"=>q<="0000000000000000"when"0010',=>q<="111111111111111,;when"0011',=>q<="111111111111111,;when"0100',=>q<="0000001110000000"when"0101"=>q<="0000001110000000"when"0110',=>q<=,0000001110000000"when-011,=>q<=,0000001110000000"when,1000,=>q<="0000001110000000"when,100'=>q<=,>0000001110000000"when"1010',=>q<=,<0000001110000000,1;when"1011"=>q<=,>0000001110000000"when,1100"=>q<="111111111111111,;when"110,=>q<="111111111111111,;when"1110',=>q<="0000000000000000"when"1111',=>q<=,>0000000000000000"whenothers=>nulI;endcase;when,10"=>caseseIiswhen"0000"=>q<=,0000000000000000,1;when"0001"=>q<="0000000000000000"when"0010"=>q<=,111111111111111,;when"0011"=>q<=,1111111111111111;when"0100',=>q<=,1100000000000011"when"010,=>q<="1100000000000011"when',0110,=>q<=,1100000000000011"when"0111',=>q<=,0110000000000110"when,1000,=>q<=,0110000000000110"when"1001',=>q<=,0110000000000110"when,1010"=>q<=,0011000000001100"when,1011,=>q<=,0001100000011000;when,1100"=>q<=,0000111111110000n;when,110,=>q<="0000011111100000h;when"1110"=>q<=,>0000000000000000,1;when"1111',=>q<="0000000000000000,;whenothers=>nuII;endcase;when"1,=>caseseIiswhen"0000"=>q<="0000000000000000"when"0001',=>q<="0000000000000000,;when,0010,=>q<=,10000000000000000"when"001,=>q<=,111111111111111,;when"0100',=>q<=,1111111111111111"when"0101',=>q<=,0000000000000011"when"0110',=>q<=,0000000000000011;when,0111"=>q<=,000000000000001,;when"1000',=>q<=,>000000000000001,;when"1001',=>q<="0000000000000011"when,1010,=>q<=,>0000000000000011,1;when"1011"=>q<=,>000000000000011,;when,1100,=>q<=,000000000000111,;when"1101"=>q<=>,0000000000000000"when-1110',=>q<="0000000000000000"when"1111"=>q<=,>0000000000000000"whenothers=>nuII;1 Ch(I .O15.0endcase;whenothers=>nuII;endcase;endprocess;endcoraarc;总体框图:五、总结六、附录(仿真结果)J.lc._J-U11JU.-LHHrnC.r_HLJI.T-J-U-LrT-L-Lr-UU-LTClrmJCJICJU.L.-LCIJLJU.ljLJLIlLLIT.-T-LrIILJLILJhLr-U-T3匚&EIEra1?r÷1FC上运【第sc«*4复,f眼Nao-*z2o-<3ao-8ZHcO-OerSO-3rSO-O二

    注意事项

    本文(EDA技术(机械)实验报告--16-16点阵显示设计.docx)为本站会员(夺命阿水)主动上传,课桌文档仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知课桌文档(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    备案号:宁ICP备20000045号-1

    经营许可证:宁B2-20210002

    宁公网安备 64010402000986号

    课桌文档
    收起
    展开